CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - clock generator

搜索资源列表

  1. vhdl_vga

    0下载:
  2. 彩条信号发生器使用说明 使用模块有:VGA接口、脉冲沿模块、时钟源模块。 使用步骤: 1. 打开电源+5V 2. 信号连接,按下表将1K30信号与实际模块连接好。 3. 1K30板连接好并口线,并将程序加载。 4. 将彩色显示器的线与VGA接口连接好。 5. 彩条信号就可以在显示器中产生,通过脉冲沿模块按键MS1可以改变产生彩条的 -color of the signal generator for use with the use of modules : V
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:95920
    • 提供者:刘浪
  1. muxplusii --vhdl 经典程序

    0下载:
  2. 用VHDL编写的数字时钟,可变宽度脉冲产生器-prepared using VHDL digital clock, Variable width pulse generator, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8965
    • 提供者:vhdp
  1. 高精度计时器

    0下载:
  2. 多媒体计时器能编程设定1毫秒或更小,是诸如MIDI序列发生器之类的专用型应用程序的理想选择,但是它们也招致了更多的开销,并且会对系统上正运行的其他程序造成负面影响。其实,在Windows API中有很多提供时钟查询的函数,利用它们就可以编写自己的高精度计时器了。类CMicroSecond和Celapsed就是用Windows API编写的2个高精度计时器-multimedia timer can be programmed to set a millisecond or less, such a
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:36099
    • 提供者:张伟
  1. tortoiseandhare

    0下载:
  2. 我们将用程序来模拟传统的龟兔赛跑问题。我们将采用随机数生成的方法开发这一问题的仿真程序。 再比赛中共设了70个点,每个点代表比赛跑道可能的一个位置。乌龟和兔从第一个点出发,终点是在第70个点上。 时钟按秒来数计。随着时钟的转动,程序能够根据下述规则来调节乌龟和兔子的位置。动物 跑动类型 占用时间 跑动量乌龟 快走 50% 向右3个点 后滑 20% 向左6个点 慢走 30% 向右1个点兔子 睡觉 20% 不动 大后滑 20% 向右9 个点 快走 10% 向左12个点 小步跳 30% 向右1个点 慢
  3. 所属分类:其他游戏

    • 发布日期:2008-10-13
    • 文件大小:1451
    • 提供者:全子
  1. ddsb

    0下载:
  2. DDS波形发生器,通过改变频率控制字来改变输出波形的频率。波形的数据实现存在ROM表中,通过时钟触发来读取。-DDS waveform generator, by changing the frequency control word to change the frequency of the output waveform. The data waveform ROM table to achieve there, triggered by the clock to read.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:745583
    • 提供者:陈杰
  1. music

    0下载:
  2. 设计并调试好一个能产生”梁祝”曲子的音乐发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera的MAX7000系列的 EPM7128 CPLD ,FLEX10K系列的EPF10K10LC84-3 FPGA, ACEX1K系列的 EP1K30 FPGA,Xinlinx 的XC9500系列的XC95108 CPLD,Lattice的ispLSI1000系列的1032E CPLD)进行硬件验证。 设计思路 根据系统提供的时钟源引入一个12MHZ时钟的基准频率,对其进行各种分频
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:8680
    • 提供者:lijq
  1. chanlestimation

    0下载:
  2. generates the output sequence of a binary convolutional encoder G : N x LK Generator matrix of a convolutional code K : Number of input bits entering the encoder at each clock cycle. input: Binary input sequence state: State of the convolution
  3. 所属分类:matlab

    • 发布日期:2017-03-27
    • 文件大小:4584
    • 提供者:inbustrious
  1. VHDL2

    0下载:
  2. 序列信号发生器: 在系统时钟的作用下能够循环产生一组或多组序列信号的时序电路,(循环产生一组序列信号0111010011011010) 序列检测器: 检测一组或多组又二进制码组成的脉冲序列信号,当序列检测器连续收到一组或多组序列信号,如果与预先设置的码11010相同的时候,输出1,否则输出0. -Sequence of signal generator: the role of the system clock cycle to generate one or more si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:94039
    • 提供者:venny
  1. ZC-51

    0下载:
  2. 1 闪烁一个LED灯--学习建立你的第一个工程 2 流水灯--延时 3 蜂鸣器发出报警音--声音产生实验 4 一位数码管0-9计数器--74HC164编程学习 5 用定时器控制闪烁一个LED灯--定时器 6 0-9999计数器--4位数数码管 7 按键计数器--外部中断 8 数码管时钟 9 LCM1602液晶屏显示--液晶屏 10 音阶产生器--音乐实验 11 4*4小键盘实验--键盘学习 12 写24C02--IIC总线写实验 13 读24C02
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:394074
    • 提供者:郑葡萄
  1. RS-232

    0下载:
  2. void UART_init() { //初始化串行口和波特率发生器 SCON =0x58 //选择串口工作方式,打开接收允许 TMOD =0x21 //定时器1工作在方式2,定时器0工作在方式1 TH1 =0xfd //实现波特率9600(系统时钟11.0592MHZ) TR1 =1 //启动定时器T1 ET1 =0 ES=1 //允许串行口中断 PS=1 //设计串行口中断优先级 EA =1 //单片机中断允许 }-void UART_init
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:2569
    • 提供者:zhongxinyu
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. VHDL

    0下载:
  2. DEMO2 数码管扫描显示电路/DEMO4 计数时钟 DEMO5 键盘扫描设计/DEMO6 波形发生器/DEMO7 用DAC实现电压信号检测/DEMO8 ADC电压测量/DEMO9 液晶驱动电路设计-DEMO2 digital tube display circuit scan/DEMO4 count clock scan design DEMO5 keyboard/DEMO6 Waveform Generator/DEMO7 implementation by DAC voltage si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:736095
    • 提供者:wang
  1. experiment_7

    0下载:
  2. 基于ROM的正弦波发生器的设计:使用MATLAB得到这64个波形数据,将这些存数据写入一个ROM中。再输入时钟,每个上升沿依次读取一个波形数据-ROM-based sine wave generator of the design: the use of MATLAB to obtain waveform data 64, to write the data in a ROM. Re-enter the clock, each rising edge followed by a read wav
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:101687
    • 提供者:evelyn
  1. Digitalelectricclock

    0下载:
  2. 数字电子钟是一种精确的计时工具,它精确显示秒、分、时,是一种比传统机械表更灵活方便的钟表。还可附加闹铃,报时等功能。因而在日常生活的各种领域应用广泛。数字电子钟由秒信号发生器、“时、分、秒”计数器,译码器及显示器,校时电路组成。秒信号发生器是整个系统的时基信号,作为秒脉冲送入计数器,计数结果通过“时、分、秒”译码器显示时间 -Digital electric clock is an exact timing tool, it is precisely that, when, is a more
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:88293
    • 提供者:zhangzhuo
  1. AD9833

    0下载:
  2. AD9833是ADI公司生产的一款低功耗,可编程波形发生器,能够产生正弦波、三角波、方波输出。波形发生器广泛应用于各种测量、激励和时域响应领域,AD9833无需外接元件,输出频率和相位都可通过软件编程,易于调节,频率寄存器是28位的,主频时钟为... -AD9833 is ADI produced by a low-power, programmable waveform generator can produce sine wave, triangle wave, square wave
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:293731
    • 提供者:zhang
  1. clockout

    0下载:
  2. 本程序演示从P3.4、P3.5和P1.0输出时钟信号。使用18.432MHz的晶振和STC12C5AXXS2系列单片机,从两个定时器引脚以及独立波特率发生器分别输出频率为150k、200k和100k赫兹的矩形波。内有C语言和汇编语言,用Keil C编译。-Demonstration of this procedure from the P3.4, P3.5 and P1.0 output clock signal. 18.432MHz crystal and the use of the STC
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:10608
    • 提供者:陈伟卓
  1. TKC7524jiekoudianluchengxu

    0下载:
  2. 根据TLC7524输出控制时序,利用接口电路图,通过改变输出数据,设计一个正弦波发生器。TLC7524是8位的D/A转换器,转换周期为 ,所以锯齿波型数据有256个点构成,每个点的数据长度为8位。.FPGA的系统时钟为 ,通过对其进行5分频处理,得到频率为 的正弦波-TLC7524 output under the control of timing, the use of interface circuit, by changing the output data, the design o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:972
    • 提供者:离火
  1. DS_FireClock_10J

    0下载:
  2. This applet displays a burning clock in real time. Over the animation you can also insert an image and a scroll-text. The applet is interactive and includes a HTML code generator that allows to change parameters without any knowledge of Java a
  3. 所属分类:Applet

    • 发布日期:2017-03-30
    • 文件大小:27814
    • 提供者:pccoe
  1. MK60 MCG多用时钟发生器

    0下载:
  2. K60DN512MCG多时钟发生器,欢迎下载(K60DN512MCG multi clock generator, welcome to do)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-22
    • 文件大小:456704
    • 提供者:此狼不色
  1. STM32_Clock_Configuration_V1.2.0

    0下载:
  2. STM32时钟配置工具箱,可直接生成器.c源文件(STM32 clock configuration toolbox, direct generator.C source file)
  3. 所属分类:微处理器开发

    • 发布日期:2018-01-07
    • 文件大小:3246080
    • 提供者:Jerryy112233
« 1 2 3 4 56 7 8 »
搜珍网 www.dssz.com